Upload of my development on my work computer. This includes some efforts to get serial working for the GPS (I think) and maybe some experimental transmitting test stuff? Anyway, mostly included here for completeness, and because I will not be doing PSDR development on this machine anymore.

This commit is contained in:
Michael Colton 2015-11-24 14:29:46 -07:00
parent 43500cbef3
commit ff84014cf9
37 changed files with 39153 additions and 8994 deletions

Binary file not shown.

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 16 KiB

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
Keychain/Assembly.png Normal file

Binary file not shown.

After

Width:  |  Height:  |  Size: 27 KiB

Binary file not shown.

Binary file not shown.

BIN
Keychain/Gerbers/BOTTOM.png Normal file

Binary file not shown.

After

Width:  |  Height:  |  Size: 187 KiB

Binary file not shown.

After

Width:  |  Height:  |  Size: 349 KiB

BIN
Keychain/Gerbers/TOP.png Normal file

Binary file not shown.

After

Width:  |  Height:  |  Size: 156 KiB

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,399 @@
G04 This is an RS-274x file exported by *
G04 gerbv version 2.6.1 *
G04 More information is available about gerbv at *
G04 http://gerbv.geda-project.org/ *
G04 --End of header info--*
%MOIN*%
%FSLAX34Y34*%
%IPPOS*%
%IN "KeyChainDevBoard.pcb"*%
G04 --Define apertures--*
%ADD10C,0.0010*%
%ADD11C,0.0080*%
%ADD12C,0.0079*%
%ADD13C,0.0050*%
%ADD14C,0.0100*%
G04 --Start main section--*
G54D11*
G01X0013680Y0012850D02*
G01X0013680Y0012150D01*
G01X0014320Y0012850D02*
G01X0014320Y0012150D01*
G01X0014526Y0012621D02*
G01X0015021Y0012126D01*
G01X0014979Y0013074D02*
G01X0015474Y0012579D01*
G01X0020379Y0014126D02*
G01X0020874Y0014621D01*
G01X0019926Y0014579D02*
G01X0020421Y0015074D01*
G01X0019726Y0016621D02*
G01X0020221Y0016126D01*
G01X0020179Y0017074D02*
G01X0020674Y0016579D01*
G01X0019720Y0013350D02*
G01X0019720Y0014050D01*
G01X0019080Y0013350D02*
G01X0019080Y0014050D01*
G01X0018750Y0012200D02*
G01X0018750Y0012600D01*
G01X0018750Y0012600D02*
G01X0019450Y0012600D01*
G01X0019450Y0012600D02*
G01X0019450Y0012200D01*
G01X0018750Y0011600D02*
G01X0018750Y0011200D01*
G01X0018750Y0011200D02*
G01X0019450Y0011200D01*
G01X0019450Y0011200D02*
G01X0019450Y0011600D01*
G01X0021100Y0013950D02*
G01X0021500Y0013950D01*
G01X0021500Y0013950D02*
G01X0021500Y0013250D01*
G01X0021500Y0013250D02*
G01X0021100Y0013250D01*
G01X0020500Y0013950D02*
G01X0020100Y0013950D01*
G01X0020100Y0013950D02*
G01X0020100Y0013250D01*
G01X0020100Y0013250D02*
G01X0020500Y0013250D01*
G01X0013000Y0015050D02*
G01X0012600Y0015050D01*
G01X0012600Y0015050D02*
G01X0012600Y0015750D01*
G01X0012600Y0015750D02*
G01X0013000Y0015750D01*
G01X0013600Y0015050D02*
G01X0014000Y0015050D01*
G01X0014000Y0015050D02*
G01X0014000Y0015750D01*
G01X0014000Y0015750D02*
G01X0013600Y0015750D01*
G01X0012850Y0014100D02*
G01X0012850Y0014500D01*
G01X0012850Y0014500D02*
G01X0013550Y0014500D01*
G01X0013550Y0014500D02*
G01X0013550Y0014100D01*
G01X0012850Y0013500D02*
G01X0012850Y0013100D01*
G01X0012850Y0013100D02*
G01X0013550Y0013100D01*
G01X0013550Y0013100D02*
G01X0013550Y0013500D01*
G01X0020000Y0011450D02*
G01X0019600Y0011450D01*
G01X0019600Y0011450D02*
G01X0019600Y0012150D01*
G01X0019600Y0012150D02*
G01X0020000Y0012150D01*
G01X0020600Y0011450D02*
G01X0021000Y0011450D01*
G01X0021000Y0011450D02*
G01X0021000Y0012150D01*
G01X0021000Y0012150D02*
G01X0020600Y0012150D01*
G01X0015600Y0017050D02*
G01X0016000Y0017050D01*
G01X0016000Y0017050D02*
G01X0016000Y0016350D01*
G01X0016000Y0016350D02*
G01X0015600Y0016350D01*
G01X0015000Y0017050D02*
G01X0014600Y0017050D01*
G01X0014600Y0017050D02*
G01X0014600Y0016350D01*
G01X0014600Y0016350D02*
G01X0015000Y0016350D01*
G01X0014465Y0016060D02*
G01X0014748Y0016343D01*
G01X0014748Y0016343D02*
G01X0015243Y0015848D01*
G01X0015243Y0015848D02*
G01X0014960Y0015565D01*
G01X0014252Y0014857D02*
G01X0014535Y0015140D01*
G01X0012850Y0013500D02*
G01X0012850Y0013100D01*
G01X0012850Y0013100D02*
G01X0012150Y0013100D01*
G01X0012150Y0013100D02*
G01X0012150Y0013500D01*
G01X0012850Y0014100D02*
G01X0012850Y0014500D01*
G01X0012850Y0014500D02*
G01X0012150Y0014500D01*
G01X0012150Y0014500D02*
G01X0012150Y0014100D01*
G01X0012600Y0011750D02*
G01X0012200Y0011750D01*
G01X0012200Y0011750D02*
G01X0012200Y0012450D01*
G01X0012200Y0012450D02*
G01X0012600Y0012450D01*
G01X0013200Y0011750D02*
G01X0013600Y0011750D01*
G01X0013600Y0011750D02*
G01X0013600Y0012450D01*
G01X0013600Y0012450D02*
G01X0013200Y0012450D01*
G01X0012600Y0012450D02*
G01X0012200Y0012450D01*
G01X0012200Y0012450D02*
G01X0012200Y0013150D01*
G01X0012200Y0013150D02*
G01X0012600Y0013150D01*
G01X0013200Y0012450D02*
G01X0013600Y0012450D01*
G01X0013600Y0012450D02*
G01X0013600Y0013150D01*
G01X0013600Y0013150D02*
G01X0013200Y0013150D01*
G01X0018650Y0011600D02*
G01X0018650Y0011200D01*
G01X0018650Y0011200D02*
G01X0017950Y0011200D01*
G01X0017950Y0011200D02*
G01X0017950Y0011600D01*
G01X0018650Y0012200D02*
G01X0018650Y0012600D01*
G01X0018650Y0012600D02*
G01X0017950Y0012600D01*
G01X0017950Y0012600D02*
G01X0017950Y0012200D01*
G01X0013600Y0015150D02*
G01X0014000Y0015150D01*
G01X0014000Y0015150D02*
G01X0014000Y0014450D01*
G01X0014000Y0014450D02*
G01X0013600Y0014450D01*
G01X0013000Y0015150D02*
G01X0012600Y0015150D01*
G01X0012600Y0015150D02*
G01X0012600Y0014450D01*
G01X0012600Y0014450D02*
G01X0013000Y0014450D01*
G01X0010250Y0016300D02*
G01X0010250Y0016700D01*
G01X0010250Y0016700D02*
G01X0010950Y0016700D01*
G01X0010950Y0016700D02*
G01X0010950Y0016300D01*
G01X0010250Y0015700D02*
G01X0010250Y0015300D01*
G01X0010250Y0015300D02*
G01X0010950Y0015300D01*
G01X0010950Y0015300D02*
G01X0010950Y0015700D01*
G01X0011840Y0015920D02*
G01X0012060Y0015920D01*
G01X0012060Y0015920D02*
G01X0012060Y0016220D01*
G01X0012060Y0016780D02*
G01X0012060Y0017080D01*
G01X0012060Y0017080D02*
G01X0011840Y0017080D01*
G01X0011760Y0015880D02*
G01X0011540Y0015880D01*
G01X0011540Y0015880D02*
G01X0011540Y0015580D01*
G01X0011540Y0015020D02*
G01X0011540Y0014720D01*
G01X0011540Y0014720D02*
G01X0011760Y0014720D01*
G01X0015860Y0010870D02*
G01X0014740Y0010870D01*
G01X0015860Y0012130D02*
G01X0014740Y0012130D01*
G01X0015860Y0011380D02*
G01X0015860Y0011620D01*
G01X0017350Y0016480D02*
G01X0018050Y0016480D01*
G01X0017350Y0017120D02*
G01X0018050Y0017120D01*
G01X0013780Y0014150D02*
G01X0013780Y0013450D01*
G01X0014420Y0014150D02*
G01X0014420Y0013450D01*
G01X0017250Y0011720D02*
G01X0016550Y0011720D01*
G01X0017250Y0011080D02*
G01X0016550Y0011080D01*
G01X0011763Y0011901D02*
G01X0011763Y0011822D01*
G01X0011763Y0011822D02*
G01X0011094Y0011822D01*
G01X0011763Y0014499D02*
G01X0011763Y0014578D01*
G01X0011763Y0014578D02*
G01X0011094Y0014578D01*
G01X0009645Y0011615D02*
G01X0009645Y0014785D01*
G01X0010306Y0011822D02*
G01X0009854Y0011822D01*
G01X0009854Y0011822D02*
G01X0009645Y0011615D01*
G01X0010306Y0014578D02*
G01X0009854Y0014578D01*
G01X0009854Y0014578D02*
G01X0009645Y0014785D01*
G01X0010129Y0012216D02*
G01X0010129Y0014184D01*
G54D12*
G01X0019909Y0012208D02*
G01X0021091Y0012208D01*
G01X0019909Y0013192D02*
G01X0021091Y0013192D01*
G01X0014291Y0016992D02*
G01X0013109Y0016992D01*
G01X0014291Y0016008D02*
G01X0013109Y0016008D01*
G54D13*
G01X0022820Y0013358D02*
G01X0022820Y0013030D01*
G01X0022820Y0013358D02*
G01X0022718Y0013358D01*
G01X0022718Y0013358D02*
G01X0022684Y0013343D01*
G01X0022684Y0013343D02*
G01X0022672Y0013327D01*
G01X0022672Y0013327D02*
G01X0022661Y0013296D01*
G01X0022661Y0013296D02*
G01X0022661Y0013264D01*
G01X0022661Y0013264D02*
G01X0022672Y0013233D01*
G01X0022672Y0013233D02*
G01X0022684Y0013218D01*
G01X0022684Y0013218D02*
G01X0022718Y0013202D01*
G01X0022718Y0013202D02*
G01X0022820Y0013202D01*
G01X0022741Y0013202D02*
G01X0022661Y0013030D01*
G01X0022559Y0013358D02*
G01X0022559Y0013030D01*
G01X0022559Y0013358D02*
G01X0022411Y0013358D01*
G01X0022559Y0013202D02*
G01X0022468Y0013202D01*
G01X0022559Y0013030D02*
G01X0022411Y0013030D01*
G01X0022150Y0013311D02*
G01X0022172Y0013343D01*
G01X0022172Y0013343D02*
G01X0022206Y0013358D01*
G01X0022206Y0013358D02*
G01X0022252Y0013358D01*
G01X0022252Y0013358D02*
G01X0022286Y0013343D01*
G01X0022286Y0013343D02*
G01X0022309Y0013311D01*
G01X0022309Y0013311D02*
G01X0022309Y0013280D01*
G01X0022309Y0013280D02*
G01X0022297Y0013249D01*
G01X0022297Y0013249D02*
G01X0022286Y0013233D01*
G01X0022286Y0013233D02*
G01X0022263Y0013218D01*
G01X0022263Y0013218D02*
G01X0022195Y0013186D01*
G01X0022195Y0013186D02*
G01X0022172Y0013171D01*
G01X0022172Y0013171D02*
G01X0022161Y0013155D01*
G01X0022161Y0013155D02*
G01X0022150Y0013124D01*
G01X0022150Y0013124D02*
G01X0022150Y0013077D01*
G01X0022150Y0013077D02*
G01X0022172Y0013046D01*
G01X0022172Y0013046D02*
G01X0022206Y0013030D01*
G01X0022206Y0013030D02*
G01X0022252Y0013030D01*
G01X0022252Y0013030D02*
G01X0022286Y0013046D01*
G01X0022286Y0013046D02*
G01X0022309Y0013077D01*
G01X0022047Y0013358D02*
G01X0022047Y0013030D01*
G01X0022047Y0013358D02*
G01X0021900Y0013358D01*
G01X0022047Y0013202D02*
G01X0021956Y0013202D01*
G01X0022047Y0013030D02*
G01X0021900Y0013030D01*
G01X0021718Y0013358D02*
G01X0021718Y0013030D01*
G01X0021797Y0013358D02*
G01X0021638Y0013358D01*
G01X0012830Y0017078D02*
G01X0012830Y0016750D01*
G01X0012830Y0017078D02*
G01X0012728Y0017078D01*
G01X0012728Y0017078D02*
G01X0012694Y0017063D01*
G01X0012694Y0017063D02*
G01X0012682Y0017047D01*
G01X0012682Y0017047D02*
G01X0012671Y0017016D01*
G01X0012671Y0017016D02*
G01X0012671Y0016984D01*
G01X0012671Y0016984D02*
G01X0012682Y0016953D01*
G01X0012682Y0016953D02*
G01X0012694Y0016938D01*
G01X0012694Y0016938D02*
G01X0012728Y0016922D01*
G01X0012830Y0016922D02*
G01X0012728Y0016922D01*
G01X0012728Y0016922D02*
G01X0012694Y0016906D01*
G01X0012694Y0016906D02*
G01X0012682Y0016891D01*
G01X0012682Y0016891D02*
G01X0012671Y0016859D01*
G01X0012671Y0016859D02*
G01X0012671Y0016813D01*
G01X0012671Y0016813D02*
G01X0012682Y0016781D01*
G01X0012682Y0016781D02*
G01X0012694Y0016766D01*
G01X0012694Y0016766D02*
G01X0012728Y0016750D01*
G01X0012728Y0016750D02*
G01X0012830Y0016750D01*
G01X0012569Y0017078D02*
G01X0012569Y0016844D01*
G01X0012569Y0016844D02*
G01X0012557Y0016797D01*
G01X0012557Y0016797D02*
G01X0012535Y0016766D01*
G01X0012535Y0016766D02*
G01X0012501Y0016750D01*
G01X0012501Y0016750D02*
G01X0012478Y0016750D01*
G01X0012478Y0016750D02*
G01X0012444Y0016766D01*
G01X0012444Y0016766D02*
G01X0012421Y0016797D01*
G01X0012421Y0016797D02*
G01X0012410Y0016844D01*
G01X0012410Y0016844D02*
G01X0012410Y0017078D01*
G01X0012228Y0017078D02*
G01X0012228Y0016750D01*
G01X0012307Y0017078D02*
G01X0012148Y0017078D01*
G54D14*
G01X0017033Y0016513D02*
G75*
G03X0017033Y0016513I-000164J0000000D01*
G01X0019192Y0012880D02*
G75*
G03X0019192Y0012880I-000102J0000000D01*
M02*

View File

@ -0,0 +1,361 @@
G04 This is an RS-274x file exported by *
G04 gerbv version 2.6.1 *
G04 More information is available about gerbv at *
G04 http://gerbv.geda-project.org/ *
G04 --End of header info--*
%MOIN*%
%FSLAX34Y34*%
%IPPOS*%
%IN "KeyChainDevBoard.pcb"*%
G04 --Define apertures--*
%ADD10C,0.0010*%
%ADD11C,0.0100*%
G04 --Start main section--*
G54D10*
G01X0010000Y0010500D02*
G75*
G03X0010500Y0010000I0000500J0000000D01*
G01X0010000Y0010500D02*
G01X0010000Y0017500D01*
G01X0010500Y0018000D02*
G75*
G03X0010000Y0017500I0000000J-000500D01*
G01X0010500Y0018000D02*
G01X0023500Y0018000D01*
G01X0024000Y0017500D02*
G75*
G03X0023500Y0018000I-000500J0000000D01*
G01X0024000Y0017500D02*
G01X0024000Y0013457D01*
G01X0023854Y0013104D02*
G75*
G03X0024000Y0013457I-000354J0000353D01*
G01X0023854Y0013104D02*
G01X0020896Y0010146D01*
G01X0020543Y0010000D02*
G75*
G03X0020896Y0010146I0000000J0000500D01*
G01X0020543Y0010000D02*
G01X0010500Y0010000D01*
G01X0010000Y0017500D02*
G01X0010000Y0017500D01*
G01X0024000Y0017500D02*
G01X0024000Y0017500D01*
G01X0020543Y0010000D02*
G01X0020543Y0010000D01*
G01X0023500Y0018000D02*
G01X0023500Y0018000D01*
G54D11*
G01X0010000Y0018980D02*
G01X0015223Y0018980D01*
G01X0010000Y0018980D02*
G01X0011000Y0019230D01*
G01X0011000Y0019230D02*
G01X0011000Y0018730D01*
G01X0011000Y0018730D02*
G01X0010000Y0018980D01*
G01X0024000Y0018980D02*
G01X0018777Y0018980D01*
G01X0024000Y0018980D02*
G01X0023000Y0018730D01*
G01X0023000Y0018730D02*
G01X0023000Y0019230D01*
G01X0023000Y0019230D02*
G01X0024000Y0018980D01*
G01X0010000Y0018000D02*
G01X0010000Y0019480D01*
G01X0024000Y0018000D02*
G01X0024000Y0019480D01*
G01X0015523Y0019136D02*
G01X0015568Y0019168D01*
G01X0015568Y0019168D02*
G01X0015636Y0019261D01*
G01X0015636Y0019261D02*
G01X0015636Y0018605D01*
G01X0016068Y0019261D02*
G01X0015841Y0018824D01*
G01X0015841Y0018824D02*
G01X0016182Y0018824D01*
G01X0016068Y0019261D02*
G01X0016068Y0018605D01*
G01X0016523Y0019261D02*
G01X0016455Y0019230D01*
G01X0016455Y0019230D02*
G01X0016409Y0019136D01*
G01X0016409Y0019136D02*
G01X0016386Y0018980D01*
G01X0016386Y0018980D02*
G01X0016386Y0018886D01*
G01X0016386Y0018886D02*
G01X0016409Y0018730D01*
G01X0016409Y0018730D02*
G01X0016455Y0018636D01*
G01X0016455Y0018636D02*
G01X0016523Y0018605D01*
G01X0016523Y0018605D02*
G01X0016568Y0018605D01*
G01X0016568Y0018605D02*
G01X0016636Y0018636D01*
G01X0016636Y0018636D02*
G01X0016682Y0018730D01*
G01X0016682Y0018730D02*
G01X0016705Y0018886D01*
G01X0016705Y0018886D02*
G01X0016705Y0018980D01*
G01X0016705Y0018980D02*
G01X0016682Y0019136D01*
G01X0016682Y0019136D02*
G01X0016636Y0019230D01*
G01X0016636Y0019230D02*
G01X0016568Y0019261D01*
G01X0016568Y0019261D02*
G01X0016523Y0019261D01*
G01X0017046Y0019261D02*
G01X0016977Y0019230D01*
G01X0016977Y0019230D02*
G01X0016932Y0019136D01*
G01X0016932Y0019136D02*
G01X0016909Y0018980D01*
G01X0016909Y0018980D02*
G01X0016909Y0018886D01*
G01X0016909Y0018886D02*
G01X0016932Y0018730D01*
G01X0016932Y0018730D02*
G01X0016977Y0018636D01*
G01X0016977Y0018636D02*
G01X0017046Y0018605D01*
G01X0017046Y0018605D02*
G01X0017091Y0018605D01*
G01X0017091Y0018605D02*
G01X0017159Y0018636D01*
G01X0017159Y0018636D02*
G01X0017205Y0018730D01*
G01X0017205Y0018730D02*
G01X0017227Y0018886D01*
G01X0017227Y0018886D02*
G01X0017227Y0018980D01*
G01X0017227Y0018980D02*
G01X0017205Y0019136D01*
G01X0017205Y0019136D02*
G01X0017159Y0019230D01*
G01X0017159Y0019230D02*
G01X0017091Y0019261D01*
G01X0017091Y0019261D02*
G01X0017046Y0019261D01*
G01X0017432Y0019043D02*
G01X0017432Y0018605D01*
G01X0017432Y0018918D02*
G01X0017500Y0019011D01*
G01X0017500Y0019011D02*
G01X0017546Y0019043D01*
G01X0017546Y0019043D02*
G01X0017614Y0019043D01*
G01X0017614Y0019043D02*
G01X0017659Y0019011D01*
G01X0017659Y0019011D02*
G01X0017682Y0018918D01*
G01X0017682Y0018918D02*
G01X0017682Y0018605D01*
G01X0017682Y0018918D02*
G01X0017750Y0019011D01*
G01X0017750Y0019011D02*
G01X0017796Y0019043D01*
G01X0017796Y0019043D02*
G01X0017864Y0019043D01*
G01X0017864Y0019043D02*
G01X0017909Y0019011D01*
G01X0017909Y0019011D02*
G01X0017932Y0018918D01*
G01X0017932Y0018918D02*
G01X0017932Y0018605D01*
G01X0018136Y0019261D02*
G01X0018159Y0019230D01*
G01X0018159Y0019230D02*
G01X0018182Y0019261D01*
G01X0018182Y0019261D02*
G01X0018159Y0019293D01*
G01X0018159Y0019293D02*
G01X0018136Y0019261D01*
G01X0018159Y0019043D02*
G01X0018159Y0018605D01*
G01X0018386Y0019261D02*
G01X0018386Y0018605D01*
G01X0026070Y0010000D02*
G01X0026070Y0013325D01*
G01X0026070Y0010000D02*
G01X0025820Y0011000D01*
G01X0025820Y0011000D02*
G01X0026320Y0011000D01*
G01X0026320Y0011000D02*
G01X0026070Y0010000D01*
G01X0026070Y0018000D02*
G01X0026070Y0014675D01*
G01X0026070Y0018000D02*
G01X0026320Y0017000D01*
G01X0026320Y0017000D02*
G01X0025820Y0017000D01*
G01X0025820Y0017000D02*
G01X0026070Y0018000D01*
G01X0021043Y0010000D02*
G01X0026570Y0010000D01*
G01X0024000Y0018000D02*
G01X0026570Y0018000D01*
G01X0024877Y0014281D02*
G01X0024809Y0014250D01*
G01X0024809Y0014250D02*
G01X0024786Y0014188D01*
G01X0024786Y0014188D02*
G01X0024786Y0014125D01*
G01X0024786Y0014125D02*
G01X0024809Y0014063D01*
G01X0024809Y0014063D02*
G01X0024854Y0014031D01*
G01X0024854Y0014031D02*
G01X0024945Y0014000D01*
G01X0024945Y0014000D02*
G01X0025013Y0013969D01*
G01X0025013Y0013969D02*
G01X0025059Y0013906D01*
G01X0025059Y0013906D02*
G01X0025081Y0013844D01*
G01X0025081Y0013844D02*
G01X0025081Y0013750D01*
G01X0025081Y0013750D02*
G01X0025059Y0013688D01*
G01X0025059Y0013688D02*
G01X0025036Y0013656D01*
G01X0025036Y0013656D02*
G01X0024968Y0013625D01*
G01X0024968Y0013625D02*
G01X0024877Y0013625D01*
G01X0024877Y0013625D02*
G01X0024809Y0013656D01*
G01X0024809Y0013656D02*
G01X0024786Y0013688D01*
G01X0024786Y0013688D02*
G01X0024763Y0013750D01*
G01X0024763Y0013750D02*
G01X0024763Y0013844D01*
G01X0024763Y0013844D02*
G01X0024786Y0013906D01*
G01X0024786Y0013906D02*
G01X0024831Y0013969D01*
G01X0024831Y0013969D02*
G01X0024900Y0014000D01*
G01X0024900Y0014000D02*
G01X0024991Y0014031D01*
G01X0024991Y0014031D02*
G01X0025036Y0014063D01*
G01X0025036Y0014063D02*
G01X0025059Y0014125D01*
G01X0025059Y0014125D02*
G01X0025059Y0014188D01*
G01X0025059Y0014188D02*
G01X0025036Y0014250D01*
G01X0025036Y0014250D02*
G01X0024968Y0014281D01*
G01X0024968Y0014281D02*
G01X0024877Y0014281D01*
G01X0025422Y0014281D02*
G01X0025354Y0014250D01*
G01X0025354Y0014250D02*
G01X0025309Y0014156D01*
G01X0025309Y0014156D02*
G01X0025286Y0014000D01*
G01X0025286Y0014000D02*
G01X0025286Y0013906D01*
G01X0025286Y0013906D02*
G01X0025309Y0013750D01*
G01X0025309Y0013750D02*
G01X0025354Y0013656D01*
G01X0025354Y0013656D02*
G01X0025422Y0013625D01*
G01X0025422Y0013625D02*
G01X0025468Y0013625D01*
G01X0025468Y0013625D02*
G01X0025536Y0013656D01*
G01X0025536Y0013656D02*
G01X0025581Y0013750D01*
G01X0025581Y0013750D02*
G01X0025604Y0013906D01*
G01X0025604Y0013906D02*
G01X0025604Y0014000D01*
G01X0025604Y0014000D02*
G01X0025581Y0014156D01*
G01X0025581Y0014156D02*
G01X0025536Y0014250D01*
G01X0025536Y0014250D02*
G01X0025468Y0014281D01*
G01X0025468Y0014281D02*
G01X0025422Y0014281D01*
G01X0025945Y0014281D02*
G01X0025877Y0014250D01*
G01X0025877Y0014250D02*
G01X0025831Y0014156D01*
G01X0025831Y0014156D02*
G01X0025809Y0014000D01*
G01X0025809Y0014000D02*
G01X0025809Y0013906D01*
G01X0025809Y0013906D02*
G01X0025831Y0013750D01*
G01X0025831Y0013750D02*
G01X0025877Y0013656D01*
G01X0025877Y0013656D02*
G01X0025945Y0013625D01*
G01X0025945Y0013625D02*
G01X0025991Y0013625D01*
G01X0025991Y0013625D02*
G01X0026059Y0013656D01*
G01X0026059Y0013656D02*
G01X0026104Y0013750D01*
G01X0026104Y0013750D02*
G01X0026127Y0013906D01*
G01X0026127Y0013906D02*
G01X0026127Y0014000D01*
G01X0026127Y0014000D02*
G01X0026104Y0014156D01*
G01X0026104Y0014156D02*
G01X0026059Y0014250D01*
G01X0026059Y0014250D02*
G01X0025991Y0014281D01*
G01X0025991Y0014281D02*
G01X0025945Y0014281D01*
G01X0026331Y0014063D02*
G01X0026331Y0013625D01*
G01X0026331Y0013938D02*
G01X0026399Y0014031D01*
G01X0026399Y0014031D02*
G01X0026445Y0014063D01*
G01X0026445Y0014063D02*
G01X0026513Y0014063D01*
G01X0026513Y0014063D02*
G01X0026559Y0014031D01*
G01X0026559Y0014031D02*
G01X0026581Y0013938D01*
G01X0026581Y0013938D02*
G01X0026581Y0013625D01*
G01X0026581Y0013938D02*
G01X0026650Y0014031D01*
G01X0026650Y0014031D02*
G01X0026695Y0014063D01*
G01X0026695Y0014063D02*
G01X0026763Y0014063D01*
G01X0026763Y0014063D02*
G01X0026809Y0014031D01*
G01X0026809Y0014031D02*
G01X0026831Y0013938D01*
G01X0026831Y0013938D02*
G01X0026831Y0013625D01*
G01X0027036Y0014281D02*
G01X0027059Y0014250D01*
G01X0027059Y0014250D02*
G01X0027081Y0014281D01*
G01X0027081Y0014281D02*
G01X0027059Y0014313D01*
G01X0027059Y0014313D02*
G01X0027036Y0014281D01*
G01X0027059Y0014063D02*
G01X0027059Y0013625D01*
G01X0027286Y0014281D02*
G01X0027286Y0013625D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,562 @@
*
*
G04 PADS9.1 Build Number: 384028 generated Gerber (RS-274-X) file*
G04 PC Version=2.1*
*
%IN "KeyChainDevBoard.pcb"*%
*
%MOIN*%
*
%FSLAX35Y35*%
*
*
*
*
G04 PC Standard Apertures*
*
*
G04 Thermal Relief Aperture macro.*
%AMTER*
1,1,$1,0,0*
1,0,$1-$2,0,0*
21,0,$3,$4,0,0,45*
21,0,$3,$4,0,0,135*
%
*
*
G04 Annular Aperture macro.*
%AMANN*
1,1,$1,0,0*
1,0,$2,0,0*
%
*
*
G04 Odd Aperture macro.*
%AMODD*
1,1,$1,0,0*
1,0,$1-0.005,0,0*
%
*
*
G04 PC Custom Aperture Macros*
*
*
*
*
*
*
G04 PC Aperture Table*
*
%ADD010C,0.001*%
%ADD150C,0.01*%
*
*
*
*
G04 PC Circuitry*
G04 Layer Name KeyChainDevBoard.pcb - circuitry*
%LPD*%
*
*
G04 PC Custom Flashes*
G04 Layer Name KeyChainDevBoard.pcb - flashes*
%LPD*%
*
*
G04 PC Circuitry*
G04 Layer Name KeyChainDevBoard.pcb - circuitry*
%LPD*%
*
G54D10*
G54D150*
G01X122573Y115894D02*
Y111300D01*
X121300Y115894D02*
X123845D01*
X125482D02*
Y111300D01*
Y113488D02*
X126027Y114144D01*
X126391Y114363*
X126391D02*
X126936D01*
X126936D02*
X127300Y114144D01*
X127482Y113488*
X127482D02*
Y111300D01*
X131300Y114363D02*
Y111300D01*
Y113706D02*
X130936Y114144D01*
X130573Y114363*
X130573D02*
X130027D01*
X130027D02*
X129664Y114144D01*
X129300Y113706*
X129118Y113050*
Y112613*
X129118D02*
X129300Y111956D01*
X129664Y111519*
X130027Y111300*
X130573*
X130936Y111519*
X131300Y111956*
X132936Y114363D02*
Y111300D01*
Y113488D02*
X133482Y114144D01*
X133845Y114363*
X133845D02*
X134391D01*
X134391D02*
X134755Y114144D01*
X134936Y113488*
X134936D02*
Y111300D01*
X136573Y115894D02*
Y111300D01*
X138391Y114363D02*
X136573Y112175D01*
X137300Y113050D02*
X138573Y111300D01*
X142209Y113706D02*
X142027Y114144D01*
X141482Y114363*
X141482D02*
X140936D01*
X140936D02*
X140391Y114144D01*
X140209Y113706*
X140391Y113269*
X140755Y113050*
X141664Y112831*
X142027Y112613*
X142027D02*
X142209Y112175D01*
Y111956*
X142027Y111519*
X141482Y111300*
X140936*
X140391Y111519*
X140209Y111956*
X149482Y115894D02*
X149118D01*
X148755Y115675*
X148573Y115019*
Y111300*
X148027Y114363D02*
X149300D01*
X152027D02*
X151664Y114144D01*
X151300Y113706*
X151118Y113050*
Y112613*
X151118D02*
X151300Y111956D01*
X151664Y111519*
X152027Y111300*
X152573*
X152936Y111519*
X153300Y111956*
X153482Y112613*
X153482D02*
Y113050D01*
X153300Y113706*
X152936Y114144*
X152573Y114363*
X152573D02*
X152027D01*
X155118D02*
Y111300D01*
Y113050D02*
X155300Y113706D01*
X155664Y114144*
X156027Y114363*
X156027D02*
X156573D01*
X162573D02*
X163664Y111300D01*
X164755Y114363D02*
X163664Y111300D01*
X163300Y110425*
X162936Y109987*
X162936D02*
X162573Y109769D01*
X162391*
X167300Y114363D02*
X166936Y114144D01*
X166573Y113706*
X166391Y113050*
Y112613*
X166391D02*
X166573Y111956D01*
X166936Y111519*
X167300Y111300*
X167845*
X168209Y111519*
X168573Y111956*
X168755Y112613*
X168755D02*
Y113050D01*
X168573Y113706*
X168209Y114144*
X167845Y114363*
X167845D02*
X167300D01*
X170391D02*
Y112175D01*
X170573Y111519*
X170936Y111300*
X171482*
X171845Y111519*
X172391Y112175*
Y114363D02*
Y111300D01*
X174027Y114363D02*
Y111300D01*
Y113050D02*
X174209Y113706D01*
X174573Y114144*
X174936Y114363*
X174936D02*
X175482D01*
X183300Y113706D02*
X183118Y114144D01*
X182573Y114363*
X182573D02*
X182027D01*
X182027D02*
X181482Y114144D01*
X181300Y113706*
X181482Y113269*
X181845Y113050*
X182755Y112831*
X183118Y112613*
X183118D02*
X183300Y112175D01*
Y111956*
X183118Y111519*
X182573Y111300*
X182027*
X181482Y111519*
X181300Y111956*
X184936Y114363D02*
Y112175D01*
X185118Y111519*
X185482Y111300*
X186027*
X186391Y111519*
X186936Y112175*
Y114363D02*
Y111300D01*
X188573Y114363D02*
Y109769D01*
Y113706D02*
X188936Y114144D01*
X189300Y114363*
X189300D02*
X189845D01*
X189845D02*
X190209Y114144D01*
X190573Y113706*
X190755Y113050*
Y112613*
X190755D02*
X190573Y111956D01*
X190209Y111519*
X189845Y111300*
X189300*
X188936Y111519*
X188573Y111956*
X192391Y114363D02*
Y109769D01*
Y113706D02*
X192755Y114144D01*
X193118Y114363*
X193118D02*
X193664D01*
X193664D02*
X194027Y114144D01*
X194391Y113706*
X194573Y113050*
Y112613*
X194573D02*
X194391Y111956D01*
X194027Y111519*
X193664Y111300*
X193118*
X192755Y111519*
X192391Y111956*
X197118Y114363D02*
X196755Y114144D01*
X196391Y113706*
X196209Y113050*
Y112613*
X196209D02*
X196391Y111956D01*
X196755Y111519*
X197118Y111300*
X197664*
X198027Y111519*
X198391Y111956*
X198573Y112613*
X198573D02*
Y113050D01*
X198391Y113706*
X198027Y114144*
X197664Y114363*
X197664D02*
X197118D01*
X200209D02*
Y111300D01*
Y113050D02*
X200391Y113706D01*
X200755Y114144*
X201118Y114363*
X201118D02*
X201664D01*
X203845Y115894D02*
Y112175D01*
X204027Y111519*
X204391Y111300*
X204755*
X203300Y114363D02*
X204573D01*
X206573Y115894D02*
Y112831D01*
Y111738D02*
X206391Y111519D01*
X206573Y111300*
X206755Y111519*
X206573Y111738*
X138700Y124063D02*
Y119469D01*
Y123406D02*
X139064Y123844D01*
X139427Y124063*
X139427D02*
X139973D01*
X139973D02*
X140336Y123844D01*
X140700Y123406*
X140882Y122750*
Y122313*
X140882D02*
X140700Y121656D01*
X140336Y121219*
X139973Y121000*
X139427*
X139064Y121219*
X138700Y121656*
X143427Y124063D02*
X143064Y123844D01*
X142700Y123406*
X142518Y122750*
Y122313*
X142518D02*
X142700Y121656D01*
X143064Y121219*
X143427Y121000*
X143973*
X144336Y121219*
X144700Y121656*
X144882Y122313*
X144882D02*
Y122750D01*
X144700Y123406*
X144336Y123844*
X143973Y124063*
X143973D02*
X143427D01*
X146518D02*
Y121000D01*
Y122750D02*
X146700Y123406D01*
X147064Y123844*
X147427Y124063*
X147427D02*
X147973D01*
X150155Y125594D02*
Y121875D01*
X150336Y121219*
X150700Y121000*
X151064*
X149609Y124063D02*
X150882D01*
X154882D02*
Y121000D01*
Y123406D02*
X154518Y123844D01*
X154155Y124063*
X154155D02*
X153609D01*
X153609D02*
X153245Y123844D01*
X152882Y123406*
X152700Y122750*
Y122313*
X152700D02*
X152882Y121656D01*
X153245Y121219*
X153609Y121000*
X154155*
X154518Y121219*
X154882Y121656*
X156518Y125594D02*
Y121000D01*
Y123406D02*
X156882Y123844D01*
X157245Y124063*
X157245D02*
X157791D01*
X157791D02*
X158155Y123844D01*
X158518Y123406*
X158700Y122750*
Y122313*
X158700D02*
X158518Y121656D01*
X158155Y121219*
X157791Y121000*
X157245*
X156882Y121219*
X156518Y121656*
X160336Y125594D02*
Y121000D01*
X161973Y122750D02*
X164155D01*
Y123188*
X164155D02*
X163973Y123625D01*
X163791Y123844*
X163427Y124063*
X163427D02*
X162882D01*
X162882D02*
X162518Y123844D01*
X162155Y123406*
X161973Y122750*
Y122313*
X161973D02*
X162155Y121656D01*
X162518Y121219*
X162882Y121000*
X163427*
X163791Y121219*
X164155Y121656*
X167791Y123406D02*
X167609Y123844D01*
X167064Y124063*
X167064D02*
X166518D01*
X166518D02*
X165973Y123844D01*
X165791Y123406*
X165973Y122969*
X166336Y122750*
X167245Y122531*
X167609Y122313*
X167609D02*
X167791Y121875D01*
Y121656*
X167609Y121219*
X167064Y121000*
X166518*
X165973Y121219*
X165791Y121656*
X171609Y125594D02*
Y121000D01*
Y123406D02*
X171245Y123844D01*
X170882Y124063*
X170882D02*
X170336D01*
X170336D02*
X169973Y123844D01*
X169609Y123406*
X169427Y122750*
Y122313*
X169427D02*
X169609Y121656D01*
X169973Y121219*
X170336Y121000*
X170882*
X171245Y121219*
X171609Y121656*
X173245Y124063D02*
Y121000D01*
Y122750D02*
X173427Y123406D01*
X173791Y123844*
X174155Y124063*
X174155D02*
X174700D01*
X176518Y121438D02*
X176336Y121219D01*
X176518Y121000*
X176700Y121219*
X176518Y121438*
X180518Y123406D02*
X180155Y123844D01*
X179791Y124063*
X179791D02*
X179245D01*
X179245D02*
X178882Y123844D01*
X178518Y123406*
X178336Y122750*
Y122313*
X178336D02*
X178518Y121656D01*
X178882Y121219*
X179245Y121000*
X179791*
X180155Y121219*
X180518Y121656*
X183064Y124063D02*
X182700Y123844D01*
X182336Y123406*
X182155Y122750*
Y122313*
X182155D02*
X182336Y121656D01*
X182700Y121219*
X183064Y121000*
X183609*
X183973Y121219*
X184336Y121656*
X184518Y122313*
X184518D02*
Y122750D01*
X184336Y123406*
X183973Y123844*
X183609Y124063*
X183609D02*
X183064D01*
X186155D02*
Y121000D01*
Y123188D02*
X186700Y123844D01*
X187064Y124063*
X187064D02*
X187609D01*
X187609D02*
X187973Y123844D01*
X188155Y123188*
X188155D02*
Y121000D01*
Y123188D02*
X188700Y123844D01*
X189064Y124063*
X189064D02*
X189609D01*
X189609D02*
X189973Y123844D01*
X190155Y123188*
X190155D02*
Y121000D01*
X0Y0D02*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,86 @@
% M48 INCH,LZ
T1C.014F095S300
X011650Y011660
X014690Y012300
X016620Y011920
X016700Y012880
X017010Y010950
X019110Y012750
X020340Y011100
X021610Y013600
X019840Y013980
X018920Y014520
X018890Y014080
X018800Y013070
X018200Y014320
X017800Y014810
X017700Y013700
X017620Y014430
X017380Y013370
X017250Y015330
X017000Y014980
X016860Y014320
X016700Y013410
X016390Y013740
X016130Y013410
X016060Y015320
X015960Y013830
X015760Y015020
X015730Y014210
X015540Y013810
X014630Y014420
X014190Y014640
X013680Y013470
X011340Y014840
X010840Y016940
X013430Y015860
X015260Y016060
X016070Y016930
X016420Y016590
X016820Y016580
X016880Y015500
X018830Y015600
X019250Y015980
X019710Y015950
X021160Y016870
T2C.03346F197S550
X013700Y016500
X020500Y012700
T3C.03346F197S550
X011763Y012216
X011763Y014184
T4C.037F197S550
X010500Y010500
X011500Y010500
X012500Y010500
X013500Y010500
X014500Y010500
X015500Y010500
X016500Y010500
X017500Y010500
X018500Y010500
X019500Y010500
X020500Y010500
X021500Y011500
X022500Y012500
X023500Y013500
X023500Y017500
X022500Y017500
X021500Y017500
X020500Y017500
X019500Y017500
X018500Y017500
X017500Y017500
X016500Y017500
X015500Y017500
X014500Y017500
X013500Y017500
X012500Y017500
X011500Y017500
X010500Y017500
T5C.04528F139S550
X010700Y011822
X010700Y014578
T6C.2F000S000
X022400Y015500
M30

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -5,7 +5,7 @@
<provider copy-of="extension" id="org.eclipse.cdt.ui.UserLanguageSettingsProvider"/>
<provider-reference id="org.eclipse.cdt.core.ReferencedProjectsLanguageSettingsProvider" ref="shared-provider"/>
<provider-reference id="org.eclipse.cdt.managedbuilder.core.MBSLanguageSettingsProvider" ref="shared-provider"/>
<provider class="org.eclipse.cdt.managedbuilder.language.settings.providers.GCCBuiltinSpecsDetector" console="false" env-hash="-1071570745985941216" id="ilg.gnuarmeclipse.managedbuild.cross.GCCBuiltinSpecsDetector" keep-relative-paths="false" name="CDT GCC Built-in Compiler Settings Cross ARM" parameter="${COMMAND} ${FLAGS} ${cross_toolchain_flags} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<provider class="org.eclipse.cdt.managedbuilder.language.settings.providers.GCCBuiltinSpecsDetector" console="false" env-hash="-1039883725473721519" id="ilg.gnuarmeclipse.managedbuild.cross.GCCBuiltinSpecsDetector" keep-relative-paths="false" name="CDT GCC Built-in Compiler Settings Cross ARM" parameter="${COMMAND} ${FLAGS} ${cross_toolchain_flags} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<language-scope id="org.eclipse.cdt.core.gcc"/>
<language-scope id="org.eclipse.cdt.core.g++"/>
</provider>
@ -16,7 +16,7 @@
<provider copy-of="extension" id="org.eclipse.cdt.ui.UserLanguageSettingsProvider"/>
<provider-reference id="org.eclipse.cdt.core.ReferencedProjectsLanguageSettingsProvider" ref="shared-provider"/>
<provider-reference id="org.eclipse.cdt.managedbuilder.core.MBSLanguageSettingsProvider" ref="shared-provider"/>
<provider class="org.eclipse.cdt.managedbuilder.language.settings.providers.GCCBuiltinSpecsDetector" console="false" env-hash="-1014861832354509513" id="ilg.gnuarmeclipse.managedbuild.cross.GCCBuiltinSpecsDetector" keep-relative-paths="false" name="CDT GCC Built-in Compiler Settings Cross ARM" parameter="${COMMAND} ${FLAGS} ${cross_toolchain_flags} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<provider class="org.eclipse.cdt.managedbuilder.language.settings.providers.GCCBuiltinSpecsDetector" console="false" env-hash="-983174811842289816" id="ilg.gnuarmeclipse.managedbuild.cross.GCCBuiltinSpecsDetector" keep-relative-paths="false" name="CDT GCC Built-in Compiler Settings Cross ARM" parameter="${COMMAND} ${FLAGS} ${cross_toolchain_flags} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
<language-scope id="org.eclipse.cdt.core.gcc"/>
<language-scope id="org.eclipse.cdt.core.g++"/>
</provider>

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -82,6 +82,7 @@ uint8_t modeLast = 2;
float agcLevel = 0;
float agcScale = 160; //Higher is lower volume.. for now
float txAgcLevel = 0;
int ifShift = 0;
@ -272,62 +273,62 @@ void setupPeripheralPower()
void configDMA(SPI_HandleTypeDef *hspi)
{
static DMA_HandleTypeDef hdma_tx;
static DMA_HandleTypeDef hdma_rx;
hdma_tx.Instance = SPIx_TX_DMA_STREAM;
hdma_tx.Init.Channel = SPIx_TX_DMA_CHANNEL;
hdma_tx.Init.Direction = DMA_MEMORY_TO_PERIPH;
hdma_tx.Init.PeriphInc = DMA_PINC_DISABLE;
hdma_tx.Init.MemInc = DMA_MINC_ENABLE;
hdma_tx.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;
hdma_tx.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;
hdma_tx.Init.Mode = DMA_NORMAL;
hdma_tx.Init.Priority = DMA_PRIORITY_LOW;
hdma_tx.Init.FIFOMode = DMA_FIFOMODE_DISABLE;
hdma_tx.Init.FIFOThreshold = DMA_FIFO_THRESHOLD_FULL;
hdma_tx.Init.MemBurst = DMA_MBURST_INC4;
hdma_tx.Init.PeriphBurst = DMA_PBURST_INC4;
HAL_DMA_Init(&hdma_tx);
/* Associate the initialized DMA handle to the the SPI handle */
__HAL_LINKDMA(hspi, hdmatx, hdma_tx);
/* Configure the DMA handler for Transmission process */
hdma_rx.Instance = SPIx_RX_DMA_STREAM;
hdma_rx.Init.Channel = SPIx_RX_DMA_CHANNEL;
hdma_rx.Init.Direction = DMA_PERIPH_TO_MEMORY;
hdma_rx.Init.PeriphInc = DMA_PINC_DISABLE;
hdma_rx.Init.MemInc = DMA_MINC_ENABLE;
hdma_rx.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;
hdma_rx.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;
hdma_rx.Init.Mode = DMA_NORMAL;
hdma_rx.Init.Priority = DMA_PRIORITY_HIGH;
hdma_rx.Init.FIFOMode = DMA_FIFOMODE_DISABLE;
hdma_rx.Init.FIFOThreshold = DMA_FIFO_THRESHOLD_FULL;
hdma_rx.Init.MemBurst = DMA_MBURST_INC4;
hdma_rx.Init.PeriphBurst = DMA_PBURST_INC4;
HAL_DMA_Init(&hdma_rx);
/* Associate the initialized DMA handle to the the SPI handle */
__HAL_LINKDMA(hspi, hdmarx, hdma_rx);
/*##-4- Configure the NVIC for DMA #########################################*/
/* NVIC configuration for DMA transfer complete interrupt (SPI3_TX) */
HAL_NVIC_SetPriority(15/*SPIx_DMA_TX_IRQn*/, 0, 1);
HAL_NVIC_EnableIRQ(SPIx_DMA_TX_IRQn);
/* NVIC configuration for DMA transfer complete interrupt (SPI3_RX) */
HAL_NVIC_SetPriority(SPIx_DMA_RX_IRQn, 0, 0);
HAL_NVIC_EnableIRQ(SPIx_DMA_RX_IRQn);
//HAL_DMA_Start();
// static DMA_HandleTypeDef hdma_tx;
// static DMA_HandleTypeDef hdma_rx;
//
//
// hdma_tx.Instance = SPIx_TX_DMA_STREAM;
//
// hdma_tx.Init.Channel = SPIx_TX_DMA_CHANNEL;
// hdma_tx.Init.Direction = DMA_MEMORY_TO_PERIPH;
// hdma_tx.Init.PeriphInc = DMA_PINC_DISABLE;
// hdma_tx.Init.MemInc = DMA_MINC_ENABLE;
// hdma_tx.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;
// hdma_tx.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;
// hdma_tx.Init.Mode = DMA_NORMAL;
// hdma_tx.Init.Priority = DMA_PRIORITY_LOW;
// hdma_tx.Init.FIFOMode = DMA_FIFOMODE_DISABLE;
// hdma_tx.Init.FIFOThreshold = DMA_FIFO_THRESHOLD_FULL;
// hdma_tx.Init.MemBurst = DMA_MBURST_INC4;
// hdma_tx.Init.PeriphBurst = DMA_PBURST_INC4;
//
// HAL_DMA_Init(&hdma_tx);
//
// /* Associate the initialized DMA handle to the the SPI handle */
// __HAL_LINKDMA(hspi, hdmatx, hdma_tx);
//
// /* Configure the DMA handler for Transmission process */
// hdma_rx.Instance = SPIx_RX_DMA_STREAM;
//
// hdma_rx.Init.Channel = SPIx_RX_DMA_CHANNEL;
// hdma_rx.Init.Direction = DMA_PERIPH_TO_MEMORY;
// hdma_rx.Init.PeriphInc = DMA_PINC_DISABLE;
// hdma_rx.Init.MemInc = DMA_MINC_ENABLE;
// hdma_rx.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;
// hdma_rx.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;
// hdma_rx.Init.Mode = DMA_NORMAL;
// hdma_rx.Init.Priority = DMA_PRIORITY_HIGH;
// hdma_rx.Init.FIFOMode = DMA_FIFOMODE_DISABLE;
// hdma_rx.Init.FIFOThreshold = DMA_FIFO_THRESHOLD_FULL;
// hdma_rx.Init.MemBurst = DMA_MBURST_INC4;
// hdma_rx.Init.PeriphBurst = DMA_PBURST_INC4;
//
// HAL_DMA_Init(&hdma_rx);
//
// /* Associate the initialized DMA handle to the the SPI handle */
// __HAL_LINKDMA(hspi, hdmarx, hdma_rx);
//
// /*##-4- Configure the NVIC for DMA #########################################*/
// /* NVIC configuration for DMA transfer complete interrupt (SPI3_TX) */
// HAL_NVIC_SetPriority(15/*SPIx_DMA_TX_IRQn*/, 0, 1);
// HAL_NVIC_EnableIRQ(SPIx_DMA_TX_IRQn);
//
// /* NVIC configuration for DMA transfer complete interrupt (SPI3_RX) */
// HAL_NVIC_SetPriority(SPIx_DMA_RX_IRQn, 0, 0);
// HAL_NVIC_EnableIRQ(SPIx_DMA_RX_IRQn);
//
//
// //HAL_DMA_Start();
}
@ -440,6 +441,10 @@ int isFwd;
int dcOffset2 = 1535;
int dcOffset3 = 1518;
int dac1OutVal = 0;
int dac2OutVal = 0;
int txDacOutValMax = 0;
void captureSamples()
{
if(adcConfigured)
@ -473,8 +478,18 @@ int isFwd;
// dac2SetValue(samplesB[sampleIndex*2+1] + samplesA[(FFT_SIZE - filterKernelLength)
// + sampleIndex * 2] /*/ (agcLevel * agcScale)*/ * 4096 * gain + 2048);
// } else {
dac1SetValue(samplesB[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac2SetValue(samplesB[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac1OutVal = samplesB[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
dac2OutVal = samplesB[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
if(transmitting == 1)
{
if(dac1OutVal > txDacOutValMax) txDacOutValMax = dac1OutVal;
if(dac2OutVal > txDacOutValMax) txDacOutValMax = dac2OutVal;
}
dac1SetValue(dac1OutVal);
dac2SetValue(dac2OutVal);
// }
@ -510,8 +525,21 @@ int isFwd;
// dac2SetValue(samplesC[sampleIndex*2+1] + samplesB[(FFT_SIZE - filterKernelLength)
// + sampleIndex * 2] /*/ (agcLevel * agcScale)*/ * 4096 * gain + 2048);
// } else {
dac1SetValue(samplesC[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac2SetValue(samplesC[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
//dac1SetValue(samplesC[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
//dac2SetValue(samplesC[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac1OutVal = samplesC[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
dac2OutVal = samplesC[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
if(transmitting == 1)
{
if(dac1OutVal > txDacOutValMax) txDacOutValMax = dac1OutVal;
if(dac2OutVal > txDacOutValMax) txDacOutValMax = dac2OutVal;
}
dac1SetValue(dac1OutVal);
dac2SetValue(dac2OutVal);
// }
if(sampleIndex >= FFT_SIZE - filterKernelLength - 1)
@ -544,8 +572,22 @@ int isFwd;
// dac2SetValue(samplesA[sampleIndex*2+1] + samplesC[(FFT_SIZE - filterKernelLength)
// + sampleIndex * 2] /*/ (agcLevel * agcScale)*/ * 4096 * gain + 2048);
// } else {
dac1SetValue(samplesA[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac2SetValue(samplesA[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
//dac1SetValue(samplesA[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
//dac2SetValue(samplesA[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048);
dac1OutVal = samplesA[(sampleIndex)*2] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
dac2OutVal = samplesA[(sampleIndex)*2+1] /*/ (agcLevel * agcScale)*/ * 4096 * afGain + 2048;
if(transmitting == 1)
{
if(dac1OutVal > txDacOutValMax) txDacOutValMax = dac1OutVal;
if(dac2OutVal > txDacOutValMax) txDacOutValMax = dac2OutVal;
}
dac1SetValue(dac1OutVal);
dac2SetValue(dac2OutVal);
// }
if(sampleIndex >= FFT_SIZE - filterKernelLength - 1)
@ -670,39 +712,39 @@ uint8_t aTxBuffer[] = "Chris a baby! ";
uint8_t aRxBuffer[256];
void configUartPeripheral()
{
//Enable Clocks
__GPIOB_CLK_ENABLE();
__USART1_CLK_ENABLE();
//Setup TX Pin
GPIO_InitStruct.Pin = GPIO_PIN_6;
GPIO_InitStruct.Mode = GPIO_MODE_AF_PP;
GPIO_InitStruct.Pull = GPIO_NOPULL;
GPIO_InitStruct.Speed = GPIO_SPEED_FAST;
GPIO_InitStruct.Alternate = GPIO_AF7_USART1;
HAL_GPIO_Init(GPIOB, &GPIO_InitStruct);
//Setup RX Pin
//It doesn't get set as an input?
GPIO_InitStruct.Pin = GPIO_PIN_7;
GPIO_InitStruct.Alternate = GPIO_AF7_USART1;
HAL_GPIO_Init(GPIOB, &GPIO_InitStruct);
//Configure NVIC
HAL_NVIC_SetPriority(USART1_IRQn, 0, 1);
HAL_NVIC_EnableIRQ(USART1_IRQn);
UartHandle.Instance = USART1;
UartHandle.Init.BaudRate = 9600;
UartHandle.Init.WordLength = UART_WORDLENGTH_8B;
UartHandle.Init.StopBits = UART_STOPBITS_1;
UartHandle.Init.Parity = UART_PARITY_NONE;
UartHandle.Init.HwFlowCtl = UART_HWCONTROL_NONE;
UartHandle.Init.Mode = UART_MODE_TX_RX;
HAL_UART_Init(&UartHandle);
// //Enable Clocks
// __GPIOB_CLK_ENABLE();
// __USART1_CLK_ENABLE();
//
// //Setup TX Pin
// GPIO_InitStruct.Pin = GPIO_PIN_6;
// GPIO_InitStruct.Mode = GPIO_MODE_AF_PP;
// GPIO_InitStruct.Pull = GPIO_NOPULL;
// GPIO_InitStruct.Speed = GPIO_SPEED_FAST;
// GPIO_InitStruct.Alternate = GPIO_AF7_USART1;
//
// HAL_GPIO_Init(GPIOB, &GPIO_InitStruct);
//
// //Setup RX Pin
// //It doesn't get set as an input?
// GPIO_InitStruct.Pin = GPIO_PIN_7;
// GPIO_InitStruct.Alternate = GPIO_AF7_USART1;
//
// HAL_GPIO_Init(GPIOB, &GPIO_InitStruct);
//
// //Configure NVIC
// HAL_NVIC_SetPriority(USART1_IRQn, 0, 1);
// HAL_NVIC_EnableIRQ(USART1_IRQn);
//
// UartHandle.Instance = USART1;
// UartHandle.Init.BaudRate = 9600;
// UartHandle.Init.WordLength = UART_WORDLENGTH_8B;
// UartHandle.Init.StopBits = UART_STOPBITS_1;
// UartHandle.Init.Parity = UART_PARITY_NONE;
// UartHandle.Init.HwFlowCtl = UART_HWCONTROL_NONE;
// UartHandle.Init.Mode = UART_MODE_TX_RX;
//
// HAL_UART_Init(&UartHandle);
}
@ -821,7 +863,8 @@ main(int argc, char* argv[])
Adafruit_GFX_fillRect(310, 8, 3, 3, HAL_GPIO_ReadPin(TOUCH1.port, TOUCH1.pin) ? ILI9340_RED : ILI9340_BLUE);
Adafruit_GFX_fillRect(310, 12, 3, 3, HAL_GPIO_ReadPin(TOUCH2.port, TOUCH2.pin) ? ILI9340_RED : ILI9340_BLUE);
if(HAL_GPIO_ReadPin(TOUCH1.port, TOUCH1.pin))
//if(HAL_GPIO_ReadPin(TOUCH1.port, TOUCH1.pin))
if(1) //I am locking it in transmit for some testing.
{
transmitting = 1;
HAL_GPIO_WritePin(DAC_MUX.port, DAC_MUX.pin, 1); //0 = speaker/earphone. 1=TX Drivers
@ -830,7 +873,7 @@ main(int argc, char* argv[])
HAL_GPIO_WritePin(AMP_SWITCH_A.port, AMP_SWITCH_A.pin, 1); //Route through amp.
HAL_GPIO_WritePin(AMP_SWITCH_B.port, AMP_SWITCH_B.pin, 0); //always reverse of above.
HAL_GPIO_WritePin(AMP_POWER.port, AMP_POWER.pin, 0); //0 is on.
tone = 0;
tone = 200;
} else {
transmitting = 0;
HAL_GPIO_WritePin(DAC_MUX.port, DAC_MUX.pin, 0); //0 = speaker/earphone. 1=TX Drivers
@ -1156,7 +1199,8 @@ void fillSamepleWithTone(int tone, float *samples)
samples[i] = 0;
}
samples[tone] = 1;
samples[tone*2] = 0.9;
samples[tone*2+1] = 0.9;
}